Tags
Language
Tags
April 2024
Su Mo Tu We Th Fr Sa
31 1 2 3 4 5 6
7 8 9 10 11 12 13
14 15 16 17 18 19 20
21 22 23 24 25 26 27
28 29 30 1 2 3 4

The ASIC Handbook (repost)

Posted By: fdts
The ASIC Handbook (repost)

The ASIC Handbook
by Nigel Horspool, Peter Gorman
English | 2001 | ISBN: 0130915580 | 256 pages | PDF | 7.11 MB

Handbook presenting techniques and methods that can be used to slash time to market and improve quality in ASIC development for electronics industry managers and project team members. Also covers project management and leadership components of ASIC development. DLC: Application specific integrated circuits.

From the Inside Flap
Preface

This book is a practical, step-by-step guide to the process of designing digital Application-Specific Integrated Circuits, or ASICs, as they are universally referred to in the industry. These components lie at the heart of nearly all successful electronic products. In the early 1990s, only a relatively small number of companies had in-house ASIC design teams. Outside of these, third-party ASIC design companies serviced the rest of what was still a relatively small market. ASIC know-how was considered an esoteric subject. By the late 1990s, less than 10 years later, this situation had transformed far beyond what anyone could have projected. Access to ASIC expertise had become and remains a survival requirement for all the major companies in the electronics industry and for many small and medium-sized enterprises, too. Such has been the explosive growth in demand for experienced ASIC teams that there is now a significant shortfall in supply. Those companies that do succeed in attracting ASIC expertise and developing it to its maximum potential hold the key to making market-winning products that can yield enormous returns on investment. Herein lies the value of this publication.

The book's aim is to highlight all the complex issues, tasks and skills that must be mastered by an ASIC design team in order to achieve successful project results. It targets ASIC and non-ASIC readers in its scope. The techniques and methodologies prescribed in the book, if properly employed, can significantly reduce the time it takes to convert initial ideas and concepts into right-first-time silicon. Reducing this ever-critical time to market does not simply save on development costs. For new products or new market segments, it provides the opportunity for getting the product there ahead of the competition and, thus, creates the potential for significantly increased market share.

The book covers all aspects of ASIC-based development projects. It includes a detailed overview of the main phases of an ASIC project. Dedicated chapters provide comprehensive coverage of the key technical issues, and a further section of the book deals with relevant management techniques. The technical methods include design for reuse, high-quality design approaches, VHDL/Verilog coding tips and synthesis guidelines. Management skills such as team building are presented, as are ASIC leader tasks such as planning, risk reduction and managing relationships with ASIC vendors.

The book has been written by two ASIC consultants who have worked on many successful ASIC projects in a variety of companies. They are interested in both the technical and management aspects of ASIC design. They are motivated by a desire to find and formulate continuous improvements in approaches to design and development processes. The book was written partly for their own benefit, to capture their own experiences with a view to helping them reproduce successful techniques and methodologies on future projects. Their hope now is that others can also benefit from their work. The book is intended to act as a companion guide to an ASIC team. It can be read in its entirety or subject by subject, as the need arises. It should be reread at the outset of each project and referred to frequently as the project progresses.

Please No mirrors.
Download from:
http://ul.to/881kpw97