Tags
Language
Tags
April 2024
Su Mo Tu We Th Fr Sa
31 1 2 3 4 5 6
7 8 9 10 11 12 13
14 15 16 17 18 19 20
21 22 23 24 25 26 27
28 29 30 1 2 3 4

Aldec Active-HDL 10.1 (32bit)

Posted By: scutter
Aldec Active-HDL 10.1 (32bit)

Aldec Active-HDL 10.1 (32bit) | 393.7 mb

Aldec, Inc., announces the latest release of its mixed-language FPGA design platform, Active-HDL 10.1. Popular with designers for more than 15 years for FPGA design entry and simulation due to its award-winning and intuitive GUI and high performance simulator, Active-HDL now offers support for 64-bit simulation to meet the growing demand of simulation of larger designs.

Active-HDL is an HDL-based FPGA Design and Simulation solution that supports the newest FPGA devices available from all leading FPGA vendors. The high-performance, mixed-language solution interfaces with nearly one hundred twenty (120) third party vendor tools and provides FPGA designers a single platform that can be used independently of the targeted FPGA design flow. Active-HDL 10.1 supports design creation and simulation of the newest industry-leading FPGA devices from Altera, Lattice, Microsemi (Actel), Quicklogic and Xilinx.

More info: https://www.aldec.com/en/products/fpga_simulation/active-hdl

About Aldec

Established in 1984, Aldec is an industry leader in Electronic Design Verification and offers a patented technology suite including: RTL Design, RTL Simulators, Hardware-Assisted Verification, SoC and ASIC Prototyping, Design Rule Checking, IP Cores, Requirements Lifecycle Management, DO-254 Functional Verification and Military/Aerospace solutions.

Name: Aldec Active-HDL
Version: (32bit) 10.1.3088.5434
Home: www.aldec.com
Interface: english
OS: Windows XP / Vista / Seven / 8 / 8.1
Size: 393.7 mb

Aldec_Active_HDL_10.1_(64bit)

Aldec Active-HDL 10.1 (32bit)

visit my blog

No mirrors please
NitroFlare.com Download Link(s)